Altera’s Spectra-Q accelerates design

Altera’s Spectra-Q software aims to accelerate design time for its Quartus II software for programmable devices.

Spectra-Q has faster algorithms and allows for incremental design changes without needing to perform a full design compile

It has a hierarchical database that enables users to preserve placement and routing information of IP blocks while making changes in other parts of the design.Altera’s Spectra-Q accelerates designThis helps ensure stable designs, eliminates unnecessary timing closure efforts and reduces compile times.

Spectra-Q includes a common high-level design compiler for better quality of results and tighter integration between the Quartus II software and a variety of different front-end tools.

Built on top of  Spectra-Q engine is a platform design tool called BluePrint that allows designers to perform architectural exploration and assign interfaces with greater efficiency.

The tool claims to reduce design iterations by 10X by allowing designers to explore and create legal IO placements up-front with real-time fitter-checking.

The tool also includes a clock and core planning feature that greatly reduces the number of design iterations needed for timing closure.

Spectra-Q also fast tracks design entry for software, hardware and DSP designers alike. With multiple versatile design flows, designers can target FPGAs with greater efficiency in the language or design environment they prefer.

 

For more detail: Altera’s Spectra-Q accelerates design

About The Author

Ibrar Ayyub

I am an experienced technical writer with a Master's degree in computer science from BZU Multan University. I have written for various industries, mainly home automation and engineering. My writing style is clear and simple, and I am skilled in using infographics and diagrams. I am a great researcher and am able to present information in a well-organized and logical manner.

Follow Us:
LinkedinTwitter